I2C总线IP核设计功能仿真

更新时间:2024-01-23 作者:用户投稿原创标记本站原创 点赞:17754 浏览:80626

摘 要 :I2C(Inter-Integrated Circuit)总线是一种在微电子通讯控制领域应用广泛的多主从构架的两线式串行总线.某型武器采用I2C总线进行数据通信,在使用时需将通信协议集成在FPGA中.文章研究了I2C总线IP核的实现方法,并对设计的IP核进行功能仿真,验证设计的可行性和正确性.

关 键 词 :I2C总线;功能仿真;IP核设计

中图分类号:TP334 文献标识码:A 文章编号:1009-2374(2013)16-0007-02

I2C(Inter-Integrated Circuit)总线是Philips公司开发的一种在微电子通讯控制领域应用广泛的多主从构架的两线式串行总线,具有接线数量少、控制简单、通讯速率高等优点.

1.I2C总线概述

I2C总线包括串行数据(SDA)和串行时钟(SCL),连接到其网络上的器件都具有唯一的地址编码,实现接收和发送数据功能.在系统中主机负责初始化数据并产生时钟信号,从机的主要功能是接收和发送数据.

I2C总线可以挂接的从设备的数量由其地址位数决定,标准中有7位和10位两种规格,实际应用中以7位地址位居多.

I2C总线的传输有三种模式:标准模式、快速模式和高速模式,最高速率可达3.4Mbit/s.I2C总线以8bits二进制数据为一个字节单位进行传输,每次独立数据传输完成后,接收端应给主机发送应答信号ACK,主机检测到该信号后,再启动下一字节的数据传输,否则,该次数据传输终止.空闲情况下,SCL和SDA都处于高电平状态.

2.I2C总线IP核的设计和功能仿真

2.1 I2C总线IP核的设计

字节命令控制器从命令寄存器接收数据,并对数据进行并串转换.字节控制器对传输的数据以每一个数据位为最小操作对象.通过设置开始、停止和读位,实现数据传输过程的控制.字节命令控制器的程序状态转移图如图2

所示.

2.2 I2C总线IP核的功能仿真

从图5仿真结果可以看出,在对应的地址段内,通过I2C总线IP核读出的数据和写入的数据完全一致,符合设计要求,同时数据变化时刻和保持时间均满足I2C总线通讯规范的要求.

3.结语

本文对某型武器中使用的I2C总线IP核的设计进行了研究,实现了全功能的I2C总线IP核,设计了可以仿真的主设备模块和从设备模块,并利用仿真软件ModelSim对设计的IP核进行了功能性仿真,设计的IP核功能正确,目前该IP核在某型武器中已进行成功应用.


相关论文范文